芯粒互连数据接口中用于噪声消除的弦和信令技术

韩晨曦, 赵潇腾, 刘源, 张圻, 刘术彬, 朱樟明

集成电路与嵌入式系统 ›› 2024, Vol. 24 ›› Issue (2) : 23-30.

PDF(2827 KB)
PDF(2827 KB)
集成电路与嵌入式系统 ›› 2024, Vol. 24 ›› Issue (2) : 23-30. DOI: 10.20193/j.ices2097-4191.2024.02.003
Chiplet研究专栏

芯粒互连数据接口中用于噪声消除的弦和信令技术

作者信息 +

Chord signaling techniques for noise cancellation in chiplet interconnect data interfaces

Author information +
文章历史 +

摘要

芯粒(Chiplet)技术可以提升集成芯片良率、降低研发成本并提升效率,因此成为目前的研究热点。不同芯粒之间需要高速数据接口进行互连通信。为提升总带宽密度,芯粒互连多采用单端信号传输数据,因此会受共模噪声、同步开关噪声以及串扰噪声的影响。弦和信令通过对传输数据编解码将单端信号转换为伪差分信号,可以抑制噪声,提高信号传输质量。同时,弦和信令作为一种调制方式,与工艺、架构等无关,工艺移植性良好,因此得到广泛应用。本文对常见的弦和信令进行了回顾,并分析总结了其性能参数,最后对弦和信令的发展进行了展望。

Abstract

Chiplet technology has garnered significant attention owing to its potential to enhance integrated chip yield,reduce research costs,and bolster efficiency.To facilitate the interconnection of distinct chips,high-speed data interfaces are imperative.With the aim of augmenting total bandwidth density,chiplet interconnections predominantly employ single-ended signals for data transmission,which are susceptible to common-mode noise,synchronous switching noise,and crosstalk noise.Chord signaling transforms single-ended signals into pseudo-differential signals through encoding and decoding transmitted data,enabling noise suppression and enhancement of signal transmission quality.Furthermore,as a modulation technique,chord signaling is extensively adopted due to its process independence,architectural flexibility,and strong process portability.This paper conducts a comprehensive review of prevalent chord signaling techniques,including analysis and summary of their performance.Finally,it offers a perspective on the chord signaling development.

关键词

芯粒 / 共模噪声 / 同步开关噪声 / 串扰噪声 / 弦和信令

Key words

Chiplet / common-mode noise / simultaneous switching noise / crosstalk noise / chord signaling

引用本文

导出引用
韩晨曦, 赵潇腾, 刘源, . 芯粒互连数据接口中用于噪声消除的弦和信令技术[J]. 集成电路与嵌入式系统. 2024, 24(2): 23-30 https://doi.org/10.20193/j.ices2097-4191.2024.02.003
HAN Chenxi, ZHAO Xiaoteng, LIU Yuan, et al. Chord signaling techniques for noise cancellation in chiplet interconnect data interfaces[J]. Integrated Circuits and Embedded Systems. 2024, 24(2): 23-30 https://doi.org/10.20193/j.ices2097-4191.2024.02.003
中图分类号: TN43 (半导体集成电路(固体电路))   

参考文献

[1]
APRILE C, CEVRERO A, FRANCESE P A, et al. An eight-lane 7-Gb/s/pin source synchronous single-ended RX with equalization and far-end crosstalk cancellation for backplane channels[J]. IEEE Journal of Solid-State Circuits, 2018, 53(3):861-872.
[2]
LI T, HOU J, YAN J, et al. Chiplet heterogeneous integration technology-Status and challenges[J]. Electronics, 2020, 9(4):670.
As a heterogeneous integration technology, the chiplet-based design technology integrates multiple heterogeneous dies of diverse functional circuit blocks into a single chip by using advanced packaging technology, which is a promising way to tackle the failure of Moore’s law and Dennard scaling. Currently, as process nodes move forward, dramatically rising cost, design cycle, and complexity are driving industry to focus on the chiplets. Chiplets allows IC designers to merge dies fabricated at different process nodes and reuse them in different projects, which helps to reduce the cost during design and improve yield. In this review, we look back at the industry’s efforts over the past decade and summary the concepts and techniques associated with chiplets. In the end, a discussion and conclusion will be given to forecast the future of chiplets.
[3]
项少林, 郭茂, 蒲菠, 等. Chiplet 技术发展现状[J]. 科技导报, 2023, 41(19):113-131.
XIANG SH L, GUO M, PU B, et al. Current Development Status of Chiplet Technology[J]. Science and Technology Review, 2023, 41(19):113-131 (in Chinese).
[4]
WILSON J M, TURNER W J, POULTON J W, et al. A 1.17 pJ/b 25Gb/s/pin ground-referenced single-ended serial link for off-and on-package communication in 16nm CMOS using a process-and temperature-adaptive voltage regulator[C]// 2018 IEEE International Solid-State Circuits Conference-(ISSCC).IEEE, 2018:276-278.
[5]
DEHLAGHI B, CARUSONE A C. A 0.3 pJ/bit 20 Gb/s/wire parallel interface for die-to-die communication[J]. IEEE Journal of Solid-State Circuits, 2016, 51(11):2690-2701.
[6]
POULTON J W, DALLY W J, CHEN X, et al. A 0.54 pJ/b 20 Gb/s ground-referenced single-ended short-reach serial link in 28 nm CMOS for advanced packaging applications[J]. IEEE Journal of Solid-State Circuits, 2013, 48(12):3206-3218.
[7]
KWON Y, PARK H, CHOI Y, et al. A 33-Gb/s/Pin 1.09-pJ/Bit Single-Ended PAM-3 Transceiver With Ground-Referenced Signaling and Time-Domain Decision Technique for Multi-Chip Module Memory Interfaces[J]. IEEE Journal of Solid-State Circuits, 2023.
[8]
ZHANG J, FRIEDMAN E G. Effect of shield insertion on reducing crosstalk noise between coupled interconnects[C]// 2004 IEEE International Symposium on Circuits and Systems (ISCAS).IEEE, 2004, 2:II-529.
[9]
LEE K, LEE H B, JUNG H K, et al. A serpentine guard trace to reduce the far-end crosstalk voltage and the crosstalk induced timing jitter of parallel microstrip lines[J]. IEEE transactions on advanced packaging, 2008, 31(4):809-817.
[10]
LEE K, JUNG H K, CHI H J, et al. Serpentine microstrip lines with zero far-end crosstalk for parallel high-speed DRAM interfaces[J]. IEEE Transactions on Advanced Packaging, 2009, 33(2):552-558.
[11]
TAJALLI A, HORMATI A, SHOKROLLAHI A. Chord signaling for high-speed data movement:Employing advanced communication and circuit techniques to augment data-transfer bandwidth[J]. IEEE Solid-State Circuits Magazine, 2019, 11(2):78-85.
[12]
POULTON J W, WILSON J M, TURNER W J, et al. A 1.17-pJ/b, 25-Gb/s/pin ground-referenced single-ended serial link for off-and on-package communication using a process-and temperature-adaptive voltage regulator[J]. IEEE Journal of Solid-State Circuits, 2018, 54(1):43-54.
[13]
KIM J. Statistical analysis for pattern-dependent simultaneous switching outputs (SSO) of parallel single-ended buffers[J]. IEEE Transactions on Circuits and Systems I:Regular Papers, 2016, 64(1):156-169.
[14]
APRILE C, CEVRERO A, FRANCESE P A, et al. An eight-lane 7-Gb/s/pin source synchronous single-ended RX with equalization and far-end crosstalk cancellation for backplane channels[J]. IEEE Journal of Solid-State Circuits, 2018, 53(3):861-872.
[15]
LIU Q, DU L, DU Y. A 0.90-Tb/s/in 1.29-pJ/b Wireline Transceiver With Single-Ended Crosstalk Cancellation Coding Scheme for High-Density Interconnects[J]. IEEE Journal of Solid-State Circuits, 2023.
[16]
HSU Y Y, KUO P C, CHUANG C L, et al. A 7nm 0.46 pJ/bit 20Gbps with BER 1E-25 Die-to-Die Link Using Minimum Intrinsic Auto Alignment and Noise-Immunity Encode[C]// 2021 Symposium on VLSI Technology.IEEE, 2021:1-2.
[17]
SHOKROLLAHI A, CARNELLI D, FOX J, et al. 10.1 A pin-efficient 20.83 Gb/s/wire 0.94 pJ/bit forwarded clock CNRZ-5-coded SerDes up to 12mm for MCM packages in 28nm CMOS[C]// 2016 IEEE International Solid-State Circuits Conference (ISSCC).IEEE, 2016:182-183.
[18]
TAJALLI A, BASTANI M, CARNELLI D, et al. A 1.02 pJ/b 417Gb/s/mm USR link in 16nm FinFET[C]// 2019 Symposium on VLSI Circuits.IEEE, 2019:C92-C93.
[19]
LAI M, ZHANG G, LV F, et al. A 33.33 Gb/s/wire pin-efficient 1.06 pJ/bit wireline transceiver based on CNRZ-5 for Chiplet in 28 nm CMOS[J]. Microelectronics Journal, 2022, 130:105628.
[20]
ZHANG G, LAI M, LYU F, et al. A CNRZ-7 Based Wireline Transceiver With High-Bandwidth-Density, Low-Power for D2D Communication[J]. IEEE Access, 2022(10):96556-96567.
[21]
CHEN S S, XU Z, TAJALLI A, et al. Crosstalk Performance Analysis:ENRZ,NRZ,PAM3,and PAM4[J]. IEEE Transactions on Signal and Power Integrity, 2023(2):53-63.
[22]
HORMATI A, SHOKROLLAHI A. ISI tolerant signaling: a comparative study of PAM4 and ENRZ[R]. 2016.
[23]
TAJALLI A, PARIZI M B, CARNELLI D A, et al. Short-reach and pin-efficient interfaces using correlated NRZ[C]// 2020 IEEE Custom Integrated Circuits Conference (CICC).IEEE, 2020:1-8.
[24]
SHOKROLLAHI A, TAJALLI S A, CRONIE H. Efficient processing and detection of balanced codes[R]. 2013.
[25]
CRONIE H, SHOKROLLAHI A. Orthogonal differential vector signaling[R]. 2011.
[26]
KANDOU. Chord signaling primer. 2020.Accessed:Jan. 7,2022.[On-line]. Available:https://docs.kandou.com/home/chord-signaling-primer/docs/signaling-technique-comparison.html.
[27]
SREERAMA C, HALL S H, HURAY P G, et al. A crosstalk-friendly signaling method[J]. IEEE Transactions on Components, Packaging and Manufacturing Technology, 2018, 8(9):1621-1631.
[28]
CHEN S S, XU Z, HOLDEN B, et al. The sensitivity of ENRZ to crosstalk-in comparison to NRZ,PAM3,and PAM4[C]// 2021 IEEE International Joint EMC/SI/PI and EMC Europe Symposium. IEEE, 2021:1116-1121.
[29]
TAJALLI A, PARIZI M B, CARNELLI D A, et al. A 1.02-pJ/b 20.83-Gb/s/wire USR transceiver using CNRZ-5 in 16-nm FinFET[J]. IEEE Journal of Solid-State Circuits, 2020, 55(4):1108-1123.

基金

高能效多电平宽范围高速数据接口接收机关键技术研究(62374126)
高效模拟前端集成电路和集成系统(62021004)
超高速模数转换器集成电路测试验证系统(62227816)
高速射频模数转换器芯片研究(2022YFB4401900)

编辑: 薛士然
PDF(2827 KB)

Accesses

Citation

Detail

段落导航
相关文章

/